Mertens / Meuris / Heyns | Ultra Clean Processing of Silicon Surfaces VII | Sonstiges | 978-3-0357-1976-5 | sack.de

Sonstiges, Englisch, 398 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

Mertens / Meuris / Heyns

Ultra Clean Processing of Silicon Surfaces VII

Sonstiges, Englisch, 398 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

ISBN: 978-3-0357-1976-5
Verlag: Trans Tech Publications


Volume is indexed by Thomson Reuters CPCI-S (WoS).
This book is sub-divided into 10 different topical sections; each dealing with important issues in surface cleaning and preparation.
Mertens / Meuris / Heyns Ultra Clean Processing of Silicon Surfaces VII jetzt bestellen!

Weitere Infos & Material


High-k Gate Dielectrics on Silicon and Germanium: Impact of Surface PreparationUV Activated Surface Preparation of Silicon for High-k Dielectric DepositionEnhanced Surface Preparation Techniques for the Si/High-k InterfaceUniform Ultrathin Oxide Growth for High-k PrecleanOn the Application of a Thin Ozone Based Wet Chemical Oxide as an Interface for ALD High-k DepositionInterface State Densities and Surface Charge on Wet-Chemically Prepared Si(100) SurfacesA Study of the Influence of Typical Wet Chemical Treatments on the Germanium Wafer SurfaceSurface Preparation Techniques for High-k Deposition on Ge SubstratesAdvanced Surface Cleaning Strategy for 65nm CMOS Device Performance EnhancementProcess, Environmental & Economical Considerations to Implement Single Wafer Cleaning Tools in 300mm Wafer FabsIn Situ Wafer Processing for Next Generation DevicesOrganic Contamination Control in Silicon Surface ProcessingApplication of UV/VIS-Spectroscopy for Determination of Complexing Agent Stability in APM and Like Mixtures ThereofPlasma Cleaning for W Polymetal GateDevelopment of New Batch-Type Plasma Assisted NOR (Native-Oxide-Removal) Dry Cleaning EquipmentEvaluation of Wafer Drying Methods for GIGA-LEVEL Device FabricationSurfactionated Rinse against Pattern Collapse and Defectivity in 193nm LithographyPerformance of a Linear Single Wafer IPA Vapour Based Drying SystemEffective Rinse Aiming at Water-Mark-Free Drying for Single-Spin Wet Cleaning ProcessInsights into Watermark Formation and ControlOccurrence of Arsenic-Based Defects and Techniques for Their EliminationSelective Wet Removal of Hf-Based Layers and Post-Dry Etch Residues in High-k and Metal Gate StacksHF Based Solutions for HfO2 Removal; Effect of pH and Temperature on HfO2: SiO2 Etch SelectivitySelective Si3N4 Etch in Single Wafer ApplicationEtch Rate Depth Profiling by Single Wafer Etching EquipmentNovel Chemical Etching to Correct Film Thickness DistributionsEtching of Silicon Oxide Films in Supercritical Carbon DioxideChallenges of Finer Particle Detection on Bulk-Silicon and SOI WafersThe Impact of Backside Particles on the Limits of Optical LithographyInspection Challenges at the 45nm Technology NodeMetrology and Removal of Nanoparticles from 500 Micron Deep TrenchesEvaluation of Megasonic Cleaning for Sub-90nm TechnologiesStrength Distribution of Megasonic Damage EventsUsing Megasonics for Particle and Residue Removal in Single Wafer CleaningBehaviour of a Well-Designed Megasonic Cleaning SystemMegasonics: A Cavitation Driven ProcessElectrophoretic Studies on Silicon Nitride: Traces of Silicates in UPW Shift Zeta Potential Similar to SC-1Damage-Free Cleaning of Sub-50 nm Devices Using Directed Megasonics Technology in a Single Wafer ProcessorA Comparison of Particle Filtration in a Recirculated Wet Bench Wet Cleaning Tool: Performance of PTFE Filters and of Surface Optimized FiltersInfluences of Oxide Loss on Contamination RemovalNon-Damaging Particle Removal Using Cryogenic AerosolsLaser Cleaning of Particles from Silicon Wafers: Capabilities and MechanismsContaminants Removal from Epi Substrates Using Vapor-Laser ProcessChemical Additive Formulations for Particle Removal in SCCO2-Based CleaningNon-Damaging CO2 Aerosol Cleaning in FEOL IC ManufacturingParticle Adhesion on Tool Kit Part: Case Study for Ceramic MaterialSimultaneous Analysis of Light and Heavy Organic Contamination on Silicon WaferVPD-DC-TXRF for Metallic Contamination Analysis of Ge WafersCu Spin Cleaning Evaluation by SOR X-Ray Fluorescence AnalysisApplication of HPLC for the Analysis of Organic Additives in Cleaning Chemicals and Cleaning MixturesChromium Contamination in Silicon: Detection and Impact on Oxide PerformancesFurther Reduction of Trace Level Ion from Ultra Pure Water and Its Effect on Electrical Property of DevicePrevention of Copper Cross-Contamination on Cu Process and Non-Cu Process Mixed FabricationWafer Backside Cleaning Strategies for High-k/Metal Gate ProcessingDirect Mixing Cleaning Method of Aqua Regia on WaferSingle Backside Cleaning on Silicon, Silicon Nitride and Silicon OxideThe Degradation Prevention of Resin Materials for Semiconductor Manufacturing Equipment by Applying the Ultra-High Purity Gas Supply TechnologyMeasurement and Control of Airborne Molecular Contamination during Wafer Storage and TransportPurification of Trace Amount of Metal Impurity from Ultra Pure Water Using Membrane Purifier/FilterMetal Contamination on Silicon Surfaces from SolventsAdhesion and Removal of Alumina Slurry Particles on Wafer Surfaces in Cu CMPA Force Study in Brush ScrubbingDissolution Characteristics of Ceria in Ascorbic Acid Solutions with Implications to CleaningInvestigation of Dissolution and Electrodeposition of Copper in Concentrated and Diluted Oxalic Acid Media in Post-CMP CleaningNon-Contact Cleaning Process for Post-CMP CopperNovel Photo Resist Stripping for Single Wafer ProcessResist Stripping for Advanced FEOL Nodes: Improvements to Process Based on Ozone Diffusion by Use of AdditivesCleaning Capability of High Concentration Ozonated WaterPhotoresist Stripping by Ozone/Water Processes: Effect of AdditivesSupercritical CO2 Applications in BEOL CleaningRepair of Porous MSQ (p-MSQ) Films Using Monochlorosilanes Dissolved in Supercritical CO2Copper Decontamination Ability of Supercritical-CO2/Additives on CVD and Spin-On Porous MSQ MaterialsAngled XPS Analysis of Low-k Dielectric Surfaces after CleaningImpact of Downstream Ash Plasmas on Ultra Low-k MaterialsActivated He:H2 Strip of Photoresist over Porous Low-k MaterialsQualification of Resist Strip Process for Ultra Low-k/Cu InterconnectEffect of Chemical Solution on the Stability of Low-k FilmsAqueous Based Single Wafer Cu/Low-k Cleaning Process Characterization and Integration into Dual Damascene Process FlowVia Cleaning Technology for Post Etch ResiduesBarrier and Copper Seedlayer Wet EtchingDeposition Behavior of Volatile Acidic Contaminants on Metallic Interconnect SurfacesEffects of Patterns on Corrosion in Cu CMPAdvanced Aqueous Cleaner II: PER Removal from Sensitive Cu/Low-k DevicesAdvanced Aqueous Cleaner I, Dilute Solutions for the Selective Removal of Post Etch Residues in the Presence of AluminiumNew Post Etch Polymer Removal Process for Al-Interconnects and Vias in Tank and Spray Tools Using a New Inorganic ChemistryEffective Polymer Removal: Process Window-Process Uniformity-Extended Chemical Bath-Life


Ihre Fragen, Wünsche oder Anmerkungen
Vorname*
Nachname*
Ihre E-Mail-Adresse*
Kundennr.
Ihre Nachricht*
Lediglich mit * gekennzeichnete Felder sind Pflichtfelder.
Wenn Sie die im Kontaktformular eingegebenen Daten durch Klick auf den nachfolgenden Button übersenden, erklären Sie sich damit einverstanden, dass wir Ihr Angaben für die Beantwortung Ihrer Anfrage verwenden. Selbstverständlich werden Ihre Daten vertraulich behandelt und nicht an Dritte weitergegeben. Sie können der Verwendung Ihrer Daten jederzeit widersprechen. Das Datenhandling bei Sack Fachmedien erklären wir Ihnen in unserer Datenschutzerklärung.