Mertens / Meuris / Heyns | Ultra Clean Processing of Semiconductor Surfaces XIII | Sonstiges | 978-3-0357-2084-6 | sack.de

Sonstiges, Englisch, Band Volume 255, 414 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

Reihe: Solid State Phenomena

Mertens / Meuris / Heyns

Ultra Clean Processing of Semiconductor Surfaces XIII

Sonstiges, Englisch, Band Volume 255, 414 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

Reihe: Solid State Phenomena

ISBN: 978-3-0357-2084-6
Verlag: Trans Tech Publications


This volume contains the proceedings of 13th International Symposium on Ultra Clean Processing of Semiconductor Surfaces (UCPSS 2016, Knokke, Belgium, September 12-14, 2016) (www.ucpss.org) and includes studies on cleaning such as particle removal using acoustic enhancement, removal of metallic contamination, pattern collapse of fine flexible and fragile features, wetting and drying issues, control and measuring of contamination. FEOL and BEOL topics cover: chemistry of semiconductor surfaces, cleaning related to new gate stacks, cleaning at the interconnect level, selective wet etching, resist strip and polymer removal, cleaning and contamination control for various new materials and cleaning after Chemical-Mechanical-Polishing (CMP).
Mertens / Meuris / Heyns Ultra Clean Processing of Semiconductor Surfaces XIII jetzt bestellen!

Weitere Infos & Material


Wet Selective SiGe Etch to Enable Ge Nanowire FormationSilicon Surface Passivation in HF Solutions for Improved Gate Oxide ReliabilitySurface Preparation Quality before Epitaxy our Paper'sStudy of Oxygen Concentration in TMAH Solution for Improvement of Sigma-Shaped Wet Etching ProcessThe Effect of Rinsing a Germanium Surface after Wet Chemical TreatmentEffect of Dilute Hydrogen Peroxide in Ultrapure Water on SiGe Epitaxial ProcessSurface Passivation of New Channel Materials Utilizing Hydrogen Peroxide and Hydrazine GasTris(Trimethylsilyl)Germane (Me3Si)3GeH: A Molecular Model for Sulfur Passivation of Ge(111) SurfacesApplications for Surface Engineering Using Atomic Layer Etching - Invited PaperTowards Atomic-Layer-Scale Processing of High Mobility Channel Materials in Acidic Solutions for N5 and N7 Technology NodesComparison of the Chemical Passivation of GaAs, In0.53Ga0.47As, and InSb with 1-EicosanethiolDigital Etching of GaAs Materials: Comparison of Oxidation TreatmentsThin Layer Etching of Silicon Nitride: Comparison of Downstream Plasma, Liquid HF and Gaseous HF Processes for Selective Removal after Light Ion ImplantationSelective Etching of Silicon Oxide versus Nitride with Low Oxide Etching RateMetrology for High Selective Silicon Nitride EtchStudy on the Etching Selectivity of Oxide Films in Dry Cleaning Process with NF3 and H2OTitanium Nitride Hard Mask Removal with Selectivity to Tungsten in FEOLAnalysis of Si Wet Etching Effect on Wafer EdgeMiddle of Line (MoL) Cleaning Challenges in Sub-20nm Node Device ManufacturingCharacterization and Development of High Dose Implanted Resist Stripping ProcessesChemical Infiltration through Deep UV PhotoresistEfficient Photoresist Residue Removal with 172nm Excimer RadiationDeep Trench Isolation and through Silicon via Wetting Characterization by High-Frequency Acoustic ReflectometryPattern Collapse of High-Aspect-Ratio Silicon Nanostructures - A Parametric StudyInfluence of CO2 Gas Atmosphere on the Liquid Filling of Superhydrophobic NanostructuresSome Critical Issues in Pattern Collapse Prevention and RepairWatermark-Free and Efficient Spray Clean on Hydrophobic Surface with Single-Wafer TechnologyExtended-Nanofluidic Devices and the Unique Liquid Properties - Invited PaperMeasurement of the Frictional Force between PVA Roller Brushes and Semiconductor Wafers with Various Films Immersed in ChemicalsRemoval of Bull?s Eye Signature by Optimizing Wet Cleans RecipeToward CO2 Beam Cleaning of 20-nm Particles in Atmospheric PressureLiquid Cell Platform to Directly Visualize Bottom-Up Assembly and Top-Down Etch Processes inside TEMA Study on the Electrostatic Discharge (ESD) Defect in SOH Mask Pattern CleaningPost-CMP Cleaners for Tungsten at Advanced NodesAdvanced Cryogenic Aerosol Cleaning: Small Particle Removal and Damage-Free PerformanceDevelopments for Physical Cleaning Sample with High Adhesion Force Particles and Direct Measurement of its Removal ForceCharacterization of Cavitation in a Single Wafer or Photomask Cleaning ToolMolecular Simulation Contribution to Porous Low-k Pore Size Determination after Damage by Etch and Wet Clean Processes - Invited PaperRapid Recovery Process of Plasma Damaged Porous Low-k Dielectrics by Wet Surface Modifying TreatmentCharacterization of Etch Residues Generated on Damascene StructuresEvaluation of Post Etch Residue Cleaning Solutions for the Removal of TiN Hardmask after Dry Etch of Low-k Dielectric Materials on 45 nm Pitch InterconnectsOptimization of Cu/Low-k Dual Damascene Post-Etch Residue and TiN Hard Mask RemovalTiN Metal Hardmask Etch Residues Removal with AlN EtchHigh Throughput Wet Etch Solution for BEOL TiN RemovalImpact of Dissolved Oxygen in Dilute HF Solution on Material EtchThe Effect of Inhibitors on Co Corrosion in Alkaline Post Cu-CMP Cleaning SolutionsOxygen Control for Wet Clean Process on Single Wafer PlatformStudy of TiW Conditioning through Different Wet and Dry Treatments to Promote Ni Electroless GrowthPost CMP Wet Cleaning Influence on Cu HillocksMinimizing Wafer Surface Charging for Single-Wafer Wet Cleaning for 10 nm and beyondSilica Formation during Etching of Silicon Nitride in Phosphoric AcidLow Undercut Ti Etch Chemistry for Cu Bump Pillar under Bump Metallization Wet Etch ProcessElectrical Characterization of As-Processed Semiconductor Surfaces - Invited PaperAtomic Resolution Quality Control for Fin Oxide Recess by Atomic Resolution ProfilerSpecification of Trace Metal Contamination for Image SensorsMetal Removal Efficiency in High Aspect Ratio StructuresQuantitative Analysis of Trace Metallic Contamination on III-V Compound Semiconductor SurfacesA Mathematical Model Forecasting HF Adsorption onto Cu-Coated Wafers as a Function of the Airborne Concentration and MoistureOxidation of Si Surfaces: Effect of Ambient Air and Water Treatments on Surface Charge and Interface State DensitySurface Optimization of Random Pyramid Textured Silicon Substrates for Improving Heterojunction Solar Cells?Just-Clean-Enough?: Optimization of Wet Chemical Cleaning Processes for Crystalline Silicon Solar CellsProgress in Cleaning and Wet Processing for Kesterite Thin Film Solar CellsOptimization of EUV Reticle Cleaning by Evaluation of Chemistries on Wafer-Based Mimic Test StructuresUltra-Trace Sulfate Ion Removal on Photomasks for Haze Reduction172 nm Excimer Radiation as a Technology Accelerator for Bio-Electronic ApplicationsElectrolyzed Water for Efficient Metal RemovalContamination Control for Wafer Container Used within 300 mm Manufacturing for Power MicroelectronicsInline FOUP Cleaner - The New Type FOUP Cleaner for the Next Generation


Eds. Paul W. Mertens, Marc Meuris and Marc Heyns


Ihre Fragen, Wünsche oder Anmerkungen
Vorname*
Nachname*
Ihre E-Mail-Adresse*
Kundennr.
Ihre Nachricht*
Lediglich mit * gekennzeichnete Felder sind Pflichtfelder.
Wenn Sie die im Kontaktformular eingegebenen Daten durch Klick auf den nachfolgenden Button übersenden, erklären Sie sich damit einverstanden, dass wir Ihr Angaben für die Beantwortung Ihrer Anfrage verwenden. Selbstverständlich werden Ihre Daten vertraulich behandelt und nicht an Dritte weitergegeben. Sie können der Verwendung Ihrer Daten jederzeit widersprechen. Das Datenhandling bei Sack Fachmedien erklären wir Ihnen in unserer Datenschutzerklärung.