Suzuki / Okumura / Kimoto | Silicon Carbide and Related Materials 2007 | Sonstiges | 978-3-908453-11-6 | sack.de

Sonstiges, Englisch, 1434 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

Suzuki / Okumura / Kimoto

Silicon Carbide and Related Materials 2007

Sonstiges, Englisch, 1434 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

ISBN: 978-3-908453-11-6
Verlag: Trans Tech Publications


Wide-bandgap semiconductors, such as silicon carbide and group-III nitrides have attracted increasing attention as promising target materials for high-power, high-frequency and high-temperature electronics use, as well as exploitation as short-wavelength light-emitters.
Volume is indexed by Thomson Reuters CPCI-S (WoS).
Suzuki / Okumura / Kimoto Silicon Carbide and Related Materials 2007 jetzt bestellen!

Weitere Infos & Material


Growth of Crack-Free 100mm-Diameter 4H-SiC Crystals with Low Micropipe Densities 100 mm 4HN-SiC Wafers with Zero Micropipe DensityInvestigations on Polytype Stability and Dislocation Formation in 4H-SiC Grown by PVT Growth of 6H-SiC Single Crystals under Quasi-Equilibrium ConditionsAluminum P-Type Doping of Bulk SiC Single Crystals by Tri-Methyl-AluminumGrowth on Rhombohedral (01-1n) Plane: An Alternative for Preparation of High Quality Bulk SiC Crystals Bulk SiC Crystal Growth at Constant Growth Rate Utilizing a New Design of Resistive Furnace Application of 6H to 4H Polytype Conversion to Effective Reduction of Micropipes in 4H SiC Crystals Status of Large Diameter SiC Single Crystals at II-VIOccurrence of Polytype Transformation during Nitrogen Doping of SiC Bulk WaferThe Observation and Explanation of Electricity Switch Phenomena in PVT Grown SiC Bulk Simulation Study for HTCVD of SiC Using First-Principles Calculation and Thermo-Fluid AnalysisComputational Analysis of SiC HTCVD from Silicon Tetrachloride and Propane Growth of 2H-SiC Single Crystals in a C-Li-Si Ternary Melt SystemSolution Growth of SiC Crystals in Si-Ti and Si-Ge-Ti SolventsStability Growth Condition for 3C-SiC Crystals by Solution TechniqueStructural Characterization of CF-PVT Grown Bulk 3C-SiC Comparative Study of Differently Grown 3C-SiC Single Crystals with Birefringence MicroscopySiC Epitaxial Growth on Multiple 100-mm Wafers and its Application to Power-Switching DevicesSilicon Carbide Growth:C/Si Ratio Evaluation and ModelingChallenges for Improving the Crystal Quality of 3C-SiC Verified with MOSFET PerformanceAdvances in Multi- and Single-Wafer SiC Epitaxy for the Production and Development of Power Diodes Extremely Uniform, High Quality SiC Epitaxy on 100-mm SubstratesHigh Quality Epitaxial Growth on 4? Off-Axis 4H SiC with Addition of HClHomoepitaxial Growth of 4H-SiC on On-Axis Si-Face Substrates Using Chloride-Based CVD Development of a High Rate 4H-SiC Epitaxial Growth Technique Achieving Large-Area Uniformity Very High Growth Rate of 4H-SiC Using MTS as Chloride-Based Precursor Development of a Practical High-Rate CVD SystemSiC-4H Epitaxial Layer Growth by Trichlorosilane (TCS) as Silicon Precursor at Very High Growth RateThin SiC-4H Epitaxial Layer Growth by Trichlorosilane (TCS) as Silicon Precursor with Very Abrupt JunctionsMulti-Level Simulation Study of Crystal Growth and Defect Formation Processes in SiC Theoretical Monte Carlo Study of the Formation and Evolution of Defects in the Homoepitaxial Growth of SiCInvestigation of Triangular Defects in 4H-SiC 4? Off Cut (0001) Si Face Epilayers Grown by CVDInfluence of Substrate Preparation and Epitaxial Growth Parameters on the Dislocation Densities in 4H-SiC Epitaxial Layers
In Situ Boron and Aluminum Doping and Their Memory Effects in 4H-SiC Homoepitaxial Layers Grown by Hot-Wall LPCVD Homoepitaxial Growth of 4H-SiC by Hot-Wall CVD Using BTMSMEffect of Substrates Thermal Etching on CVD Growth of Epitaxial Silicon Carbide LayersNitrogen Doping in Low-Temperature Halo-Carbon Homoepitaxial Growth of SiCLocal-Loading Effect in Low-Temperature Selective Epitaxial Growth of 4H-SiC by Halo-Carbon MethodMicropipe Dissociation through Thick n+ Buffer Layer GrowthGrowth Mechanism and 2D Aluminum Dopant Distribution of Embedded Trench 4H-SiC Region
In Situ Nitrogen and Aluminum Doping in Migration Enhanced Embedded Epitaxial Growth of 4H-SiC Solution Growth of Off-Axis 4H-SiC for Power Device ApplicationEpitaxial TaC Films for the Selective Area Growth of SiCSolution Growth of 3C-SiC on 6H-SiC Using Si Solvent under N2-He Atmosphere Solution Growth of 3C-SiC Single Crystals by Cold Crucible Technique Growth Mechanism of 3C-SiC Heteroepitaxial Layers on a-SiC by VLSGrowth Kinetics of 3C-SiC on a-SiC by VLS3C-SiC Islands Formation on 6H-SiC(0001) Substrate from a Liquid Phase Strain in 3C?SiC Heteroepitaxial Layers Grown on (100) and (111) Oriented Silicon Substrates Growth of 3C-SiC on Si: Influence of Process PressureVoid Formation in Differently Oriented Si in the Early Stage of SiC Growth3C-SiC on Si Substrates Using Pendeo-Epitaxial GrowthInfluence of Growth Parameters on the Residual Strain in 3C-SiC Epitaxial Layers on (001) SiliconIsland Formation of SiC Film on Striated Si(001) SubstratesStructural and Morphological Characterization of 3C-SiC Films Grown on (111), (211) and (100) Silicon Substrates Heteroepitaxial Growth of 3C-SiC on Si (111) at Low Substrate Temperature by Plasma Assisted CVDBuckling Stabilization and Stress Reduction in SiC on Si by i-FLASiC Processing 3C-SiC Heteroepitaxy on (100), (111) and (110) Si Using Trichlorosilane (TCS) as the Silicon Precursor.Hetero-Epitaxial Growth of 3C-SiC with Smooth Surface on Si(001) Using Acetylene GasHeteroepitaxial Growth of 3C-SiC on Si (111) Substrate Using AlN as a Buffer LayerCharacteristics of Polycrystalline 3C-SiC Thin Films Grown on AlN Buffer Layer by CVDAspects of Dislocation Behavior in SiCInvestigation of Defect Formation in 4H-SiC(0001) and (000-1) EpitaxyTemperature Dependence of Shockley Stacking Fault Expansion and Contraction in 4H-SiC p-i-n DiodesEPR Identification of Defects and Impurities in SiC: To be DecisiveDefects Identified in SiC and Their ImplicationsAtomic and Electronic Structure of the (2?1) and c(2?2) 4H-SiC(1-102) SurfacesSense Determination of c-Axis Screw Dislocations in 4H-SiCStudies of the Distribution of Elementary Threading Screw Dislocations in 4H Silicon Carbide Wafer High-Resolution Topography Analysis on Threading Edge Dislocations in 4H-SiC Epilayers Observation of Misfit Dislocations Introduced by Epi-Layer Growth on 4H-SiC Dislocation Contrast of 4H-SiC in X-Ray Topography under Weak-Beam Condition Evolution of Basal Plane Dislocations during 4H-SiC Epitaxial GrowthContrast of Basal Plane and Threading Edge Dislocations in 4H-SiC by X-Ray Topography in Grazing Incidence GeometrySlip of Basal Plane Dislocations in 4H-SiC EpitaxyPair-Generation of the Basal-Plane-Dislocation during Crystal Growth of SiC Characterization of Dislocations and Micropipes in 4H n+ SiC SubstratesRaman Scattering Study of Stress Distribution around Dislocation in SiC Stacking Fault Formation in Highly Nitrogen-Doped 4H-SiC Substrates with Different Surface Preparation ConditionsDifferences in Emission Spectra of Dislocations in 4H-SiC Epitaxial Layers Expansion of Stacking Faults in 4H-SiC Epitaxial Layer under Laser Light Excitation during Room Temperature Photoluminescence MappingExpansion of Stacking Faults by Electron-Beam Irradiation in 4H-SiC Diode StructureSynchrotron X-Ray Topographic Studies of Recombination Activated Shockley Partial Dislocations in 4H-Silicon Carbide Epitaxial LayersX-Ray Rocking Curve Characterization of SiC SubstratesTEM Observation of the Polytype Transformation of Bulk SiC IngotStructural Analysis of Off-Axis SiC Planes for the Growth of SiC and AlGaN FilmsDelineation of Defects Reducing Schottky Barrier Heights on 4H-SiC by the Electrochemical DepositionInvestigation of Pits Formed at Oxidation on 4H-SiCIntrinsic Defects in HPSI 6H-SiC: an EPR Study Defect Level of the Carbon Vacancy-Carbon Antisite Pair Center in SI 4H SiC Behavior of Native Defects in Semi-Insulating 4H-SiC after High Temperature Anneals and Different Cool-Down Rates Characterization of Traps in Semi-Insulating 4H-SiC by Discharge Current Transient SpectroscopyThe Electronic Structure of the UD-4 Defect in 4H, 6H and 15R SiCDeep Levels Responsible for Semi-Insulating Behavior in Vanadium-Doped 4H-SiC SubstratesContact-Less Electrical Defect Characterization of Semi-Insulating 6H-SiC Bulk Material Photo-EPR Study of Vacancy-Type Defects in Irradiated n-Type 4H-SiCNew Type of Defects Explored by Theory: Silicon Interstitial Clusters in SiCEffect of the Schottky Barrier Height on the Detection of Midgap Levels in 4H-SiC by Deep Level Transient SpectroscopySearch for Hydrogen Related Defects in p-Type 6H and 4H-SiC Carrier Removal in Electron Irradiated 4H and 6H SiCEvolution of D1-Defect Center in 4H-SiC during High Temperature Annealing The Formation and Annealing of Carbon Interstitial-Related Complexes in Electron-, Proton- and Helium Irradiated 4H SiCIdentification of Neutral Carbon Vacancy-Carbon Anti-Site Complex by Low Temperature Photoluminescence SpectroscopyIonization Energies of Phosphorus Donors in 6H-SiCWave-Function Symmetry and the Properties of Shallow P Donors in 4H SiCInfrared PL Signatures of n-Type Bulk SiC Substrates with Nitrogen Impurity Concentration between 1016 and 1017 cm-3A Comparison of Transient Boron Diffusion in Silicon, Silicon Carbide and DiamondDiffusion and Electroluminescence Studies of Low Temperature Diffusion of Boron in 3C-SiCTitanium Related Luminescence in SiCRaman Investigation of the Effect of Metal Impurities at Gettering Sites on Phonon and Electron Related Properties of 4H-SiC n-n+ JunctionsNitrogen Passivation of (0001) 4H-SiC Dangling BondsOrigin of Giant Step Bunching on 4H-SiC (0001) SurfacesEnhanced Annealing of the Main Lifetime Limiting Defect in Thick 4H-SiC LayersImpact of 4H-SiC Substrate Defectivity on Epilayer Injected Carrier LifetimesGeneration and Recombination Carrier Lifetimes in 4H SiC Epitaxial Wafers Variations in the Measured Carrier Lifetimes of n- 4H-SiC EpilayersInvestigation of the Internal Carrier Distribution in 4H-SiC Pin-Diodes by Laser Absorption ExperimentsComputational Evaluation of Electrical Conductivity on SiC and the Influence of Crystal DefectsCharacterization of Electrical Properties in SiC Crystals by Raman Scattering SpectroscopyRaman Characteristics of Poly 3C-SiC Thin Films Deposited on AlN Buffer LayerCharacterization of Electronic Properties of Different SiC Polytypes by All-Optical Means The Specific Features of High-Field Transport in SiC PolytypesThermal Expansion Coefficients of 6H Silicon CarbideStudies of Thermal Anisotropy in 4H-, 6H-SiC Bulk Single Crystal Wafers by Photopyroelectric (PPE) Method Improvement of the Thermal Conductivity in 4H-SiC Epitaxial Layer by Introducing Gettering SitesOptical Study of Ge Incorporation in Cubic SiC Layers Grown by VLSSiC Polytype Stability Influenced by Ge ImpuritiesSpace Charge Waves in 6H-SiC and 4H-SiCGalvanomagnetic Properties of 3C-SiC/6H-SiC HeterostructuresRapid Characterization of SiC Crystals by Full-Wafer Photoluminescence Imaging under Below-Gap Excitation Mapping of Defects in Large-Area Silicon Carbide Wafers via Photoluminescence and its Correlation with Synchrotron White Beam X-Ray TopographyImaging and Metrology of Silicon Carbide Wafers by Laser-Based Optical Surface Inspection SystemContactless Topographic Analysis of Locally Inhomogeneous Resistivity in SiC and Cd(Zn)Te Evolution and Structure of Graphene Layers on SiC(0001)Graphene Layers on Silicon Carbide Studied by Raman Spectroscopy Dots Formation by CVD in the SiC-Si Hetero-SystemElectronic Band Structure of Cubic Silicon Carbide NanowiresTheoretical Comparison of 3C-SiC and Si Nanowire FETs in Ballistic RegimeCrystalline Recovery after Activation Annealing of Al Implanted 4H-SiCDynamical Simulation of SiO2/4H-SiC Interface on C-Face Oxidation Process: From First PrinciplesInfluence of the Oxidation Temperature and Atmosphere on the Reliability of Thick Gate Oxides on the 4H-SiC C(000-1) FaceAnnealing Temperature Dependence of the Electrically Active Profiles and Surface Roughness in Multiple Al Implanted 4H-SiCDual-Pearson Approach to Model Ion-Implanted Al Concentration Profiles for High-Precision Design of High-Voltage 4H-SiC Power DevicesDetection and Characterization of Defects Induced by Ion Implantation/Annealing Process in SiCDepth Profiling of Al Ion-Implantation Damage in SiC Crystals by Cathodoluminescence Spectroscopy Compensation Effects in 7 MeV C Irradiated n-Doped 4H-SiC Structure and Lattice Location of Ge Implanted 4H-SiCLaser Doping of Chromium and Selenium in p-Type 4H-SiC Phase Formation and Growth Kinetics of an Interface Layer in Ni/SiC Backside Nickel Based Ohmic Contacts to n-Type Silicon CarbideComparison of Electrical Properties of Ohmic Contact Realized on p-Type 4H-SiC Electrical Characteristics of Ti/4H-SiC Slicidation Schottky Barrier DiodeInvestigation of Subcontact Layers in SiC after Diffusion WeldingIsotropic Etching of SiC4H Silicon Carbide Etching Using Chlorine Trifluoride GasAnisotropic Etching of SiC in the Mixed Gas of Chlorine and Oxygen Model Calculation of SiC Oxidation Rates in the Thin Oxide RegimeOxygen-Partial-Pressure Dependence of SiC Oxidation Rate Studied by In Situ Spectroscopic Ellipsometry TEM Observation of SiO2/4H-SiC Hetero Interface Influence of Metallization Annealing on Channel Mobility in 4H-SiC MOSFET on Carbon Face Enhanced Channel Mobility in 4H-SiC MISFETs by Utilizing Deposited SiN/SiO2 Stack Gate Structures Anomalously High Channel Mobility in SiC-MOSFETs with Al2O3/SiOx/SiC Gate Structure Scattering Mechanisms in Silicon Carbide MOSFETs with Gate Oxides Fabricated Using Sodium Enhanced Oxidation Technique High Channel Mobility of MOSFET Fabricated on 4H-SiC (11-20) Face Using Wet Annealing High Channel Mobility of 4H-SiC MOSFET Fabricated on Macro-Stepped SurfaceImprovement of Electron Channel Mobility in 4H SiC MOSFET by Using Nitrogen Implantation Reduction of Interface Traps and Enhancement of Channel Mobility in n-Channel 6H-SiC MOSFETs by Irradiation with Gamma-RaysEffects of Fabrication Process on the Electrical Characteristics of n-Channel MOSFETs Irradiated with Gamma-Rays4H-SiC p-Channel MOSFETs with Epi-Channel StructureAtomistic Scale Modeling of Factors Affecting the Channel Mobility in 4H-SiC MOSFETsElectrically Detected Magnetic Resonance Studies of Processing Variations in 4H SiC Based MOSFETsThe Inefficiency of H2-Passivation as a Criterion for the Origin of SiC/SiO2 Deep Interface States - a Theoretical Study Two Different Species of Traps Monitored at N-Implanted 3C-SiC MOS Capacitors by Conductance Spectroscopy Effect of Post-Oxidation Annealing on High-Temperature Grown SiO2/4H-SiC InterfaceInfluence of Ambient, Gate Metal and Oxide Thickness on Interface State Density and Time Constant in MOSiC Capacitor High Frequency Inversion Capacitance Measurements for 6H-SiC n-MOS Capacitors from 450 to 600 ?C The Effect of Nitridation on SiC MOS Oxides as Evaluated by Charge PumpingCriteria for Accurate Measurement of Charge-Pumping Current in 4H-SiC MOSFETsOptimization of 4H-SiC MOS Properties with Cesium ImplantationA Study of Deep Energy-Level Traps at the 4H-SiC/SiO2 Interface and Their Passivation by Hydrogen Interface and Carrier Transport Behaviour in Al/HfO2/SiO2/SiC StructureImproved Properties of AlON/4H SiC Interface for Passivation StudiesInfluence of Annealing on the Al2O3/4H-SiC InterfacePost Metallization Annealing Characterization of Interface Properties of High-? Dielectrics Stack on Silicon Carbide Correlation between Thermal Oxide Breakdown and Defects in n-Type 4H-SiC Epitaxial WafersReliability of Thermal Oxides Grown on n-Type 4H-SiC Implanted with Low Nitrogen ConcentrationImpact of the Wafer Quality on the Reliability of MOS Structure on the C-Face of 4H-SiC Gate-Area Dependence of SiC Thermal Oxides ReliabilityEffect of Gate Wet Reoxidation on Reliability and Channel Mobility of Metal-Oxide-Semiconductor Field-Effect Transistors Fabricated on 4H-SiC(000-1)Negative Field Reliability of ONO Gate Dielectric on 4H-SiCTDDB Measurement of Gate SiO2 on 4H-SiC Formed by Chemical Vapor Deposition Impact of Nitridation on Negative and Positive Charge Buildup in SiC Gate OxidesTemperature-Dependence of SiC MOSFET Threshold-Voltage Instability Characteristics of Sol-Gel Derived SiO2 Thick Film on 4H-SiCNew Chemical Planarization of SiC and GaN Using an Fe Plate in H2O2 Solution Development of Lapping and Polishing Technologies of 4H-SiC Wafers for Power Device ApplicationsImprovements in Electrical Properties of SiC Surface Using Mechano-Chemical PolishingThe Impact of Chemical-Mechanical Polishing on Defective 4H-SiC Schottky Barrier DiodesEffect of Process Parameters on Material Removal Rate in Chemical Mechanical Polishing of 6H-SiC(0001)Damage-Free Planarization of 2-Inch 4H-SiC Wafer Using Pt Catalyst Plate and HF Solution The Preparation of World-Class Single Crystal Silicon Carbide Wafers Using High Rate Chemical Mechanical Planarization SlurriesBeveling of Silicon Carbide Wafer by Plasma Chemical Vaporization MachiningTemperature Dependence of Plasma Chemical Vaporization Machining of Silicon and Silicon Carbide Electric Discharge Machining for Silicon Carbide and Related MaterialsCharacterization of Electric Discharge Machining for Silicon Carbide Single Crystal A Silicon Carbide Accelerometer for Extreme Environment Applications An Examination of Material-Related Performance in SiC Heated Elements for IR Emitter and Sensor Applications Mechanical Properties of Poly 3C-SiC Thin Films According to Carrier Gas (H2) ConcentrationNovel Use of Columnar Porous Silicon Carbide Structures as Nanoimprint Lithography StampsEtching Characteristics of Polycrystalline 3C-SiC Films Using Enhanced RIE Femtosecond Laser-Induced Surface Patterning on 4H-SiCCross-Sectional TEM Analysis of Structural Change in 4H-SiC Single Crystal Irradiated by Femtosecond Laser Pulses Present Status and Future Prospects for Electronics in EVs/HEVs and Expectations for Wide Bandgap Semiconductor DevicesCritical Technical Issues in High Voltage SiC Power Devices SiC JFET: Currently the Best Solution for an Unipolar SiC High Power Switch Challenges of 4H-SiC MOSFETs on the C(000-1) Face toward the Achievement of Ultra Low On-ResistanceNormally-Off 1400V/30A 4H-SiC DACFET and its Application to DC-DC Converter Applications-Based Design of SiC TechnologyNew Applications in Power Electronics Based on SiC Power DevicesDevelopment of Large Area (up to 1.5 cm2) 4H-SiC 10 kV Junction Barrier Schottky Rectifiers Reliability Aspects of High Voltage 4H-SiC JBS Diodes1200-V JBS Diodes with Low Threshold Voltage and Low Leakage Current High-Current 10 kV SiC JBS Rectifier Performance 5 kV, 9.5 A SiC JBS Diodes with Non-Uniform Guard Ring Edge Termination for High Power Switching Application 10 kV Silicon Carbide Junction Barrier Schottky RectifierBreakdown Behavior of 900-V 4H-SiC Schottky Barrier Diodes Terminated with Boron-Implanted pn-JunctionEffect of the Doping Concentration and Space of Both p-Grid and Field Limiting Ring on 4H-SiC Junction Barrier Schottky Diode with Single Ion Implantation ProcessStructure Analysis of In-Grown Stacking Faults and Investigation of the Cause for High Reverse Current of 4H-SiC Schottky Barrier DiodeCharacterization of Schottky Diodes on 4H-SiC with Various Off-Axis Angles Grown by Sublimation EpitaxySchottky Barrier Diode Fabricated by MOCVD-Grown Epilayer Using Bis-Trimethylsilylmethane PrecursorDevice Simulation Model for Transient Analysis of SiC-SBDDistribution of Forward Voltage of SiC Schottky Barrier Diode Using Ti Sintering Process Impact of High-k Dielectrics on Breakdown Performances of SiC and Diamond Schottky DiodesField-Plate Terminated Pt/n- 4H-SiC SBD Using Thermal SiO2 and Sputter Deposited AlN Dielectric Stack 3.3 kV-10A 4H-SiC PiN Diodes Bevel Mesa Combined with Implanted Junction Termination Structure for 10 kV SiC PiN DiodesObservation of Crystalline Defects Causing pn Junction Reverse Leakage Current Comparative Evaluation of Anode Layers on the Electrical Characteristics of High Voltage 4H-SiC PiN DiodesSelf-Heating of 4H-SiC PiN Diodes at High Current DensitiesImpact of RIE Etching on the Breakdown Voltage of 4H-SiC Mesa DiodesNovel SiC Zener Diodes with High Operating Temperature of 300?C and High Power Density of 40 kW/cm2Microwave Switches and Modulators Based on 4H-SiC p-i-n DiodesAnnealing Effect on Characteristics of p+n 4H-SiC Diode Formed by Al Ion ImplantationRoom Temperature Annealing Effects on Leakage Current of Ion Implanted p+n 4H-SiC Diodes Determination of Ambipolar Lifetime and Epilayer Thickness of 5kV SiC Bipolar Devices by Transient Switching StudiesNumerical Evaluation of Forward Voltage in SiC Pin Diode with Non-Ohmic Current Component in Contact to p-Type LayerTransient Response to High Energy Heavy Ions in 6H-SiC n+p Diodes Degradation of Charge Collection Efficiency for 6H-SiC Diodes by Electron Irradiation1200-V, 50-A, Silicon Carbide Vertical Junction Field Effect Transistors for Power Switching ApplicationsHigh-Temperature Static and Dynamic Reliability Study of 4H-SiC Vertical-Channel JFETs for High-Power System ApplicationsHigh-Temperature Operation of 50 A (1600 A/cm2), 600 V 4H-SiC Vertical-Channel JFETs for High-Power ApplicationsNormally-Off 4H-SiC Vertical JFET with Large Current DensitySilicon Carbide Vertical JFET Operating at High TemperatureSwitching Performance of Epitaxially Grown Normally-Off 4H-SiC JFET1270V, 1.21mO?cm2 SiC Buried Gate Static Induction Transistors (SiC-BGSITs)Three Dimensional Analysis of Turnoff Operation of SiC Buried Gate Static Induction Transistors (BG-SITs) Fabrication and Testing of 6H-SiC JFETs for Prolonged 500 ?C Operation in Air AmbientSilicon Carbide Differential Amplifiers for High-Temperature Sensing SiC Lateral Trench JFET for Harsh-Environment Wireless SystemsDevelopment of High Temperature Lateral HV and LV JFETs in 4H-SiCFast Switching Characteristics of 4H-SiC RESURF-Type JFET 6H-SiC Lateral JFETs for Analog Integrated CircuitsInfluence of Passivation Oxide Properties on SiC Field-Plated Buried Gate MESFETsRF Characteristics of a Fully Ion-Implanted MESFET with Highly Doped Thin Channel Layer on a Bulk Semi-Insulating 4H-SiC Substrate. Measurement of Local Temperatures Using ?-Raman of SiC and AlGaN-GaN/SiC Power and RF DevicesNormally-Off 4H-SiC Power MOSFET with Submicron Gate(11-20) Face Channel MOSFET with Low On-ResistanceInvestigation into Short-Circuit Ruggedness of 1.2 kV 4H-SiC MOSFETs Effect of Recombination-Induced Stacking Faults on Majority Carrier Conduction and Reverse Leakage Current on 10 kV SiC DMOSFETs 950 Volt 4H-SiC MOSFETs: DC and Transient Performance and Gate Oxide Reliability Evaluation of 4H?SiC DMOSFETs for High?Power Electronics ApplicationsComparison of 10 kV 4H-SiC Power MOSFETs and IGBTs for High Frequency Power ConversionOn-State and Switching Performance of High-Voltage 15 ? 20 kV 4H-SiC DMOSFETs and IGBTs Temperature and Time Dependent Threshold Voltage Instability in 4H-SiC Power DMOSFET Devices1200 V 4H-SiC BJTs with a Common Emitter Current Gain of 60 and Low On-Resistance 1600 V, 5.1 mO?cm2 4H-SiC BJT with a High Current Gain of ?=704H-SiC Bipolar Junction Transistors with a Current Gain of 108 Simulation and Modeling of Thermal Effects in 4H-SiC NPN BJTsReliability Testing of 4H-SiC Bipolar Junction Transistors in Continuous Switching ApplicationsA Simple and Reliable Electrical Method for Measuring the Junction Temperature and Thermal Resistance of 4H-SiC Power Bipolar Junction Transistors Behavior of Stacking Faults in TEDREC Phenomena for 4.5 kV SiCGTElectron Irradiation Lifetime Control for SiC Bipolar Devices of 200 kVA High Power SiC InvertersA 13 kV 4H-SiC n-Channel IGBT with Low Rdiff,on and Fast Switching 12 kV 4H-SiC p-IGBTs with Record Low Specific On-ResistanceDesign, Simulation, and Characterization of High-Voltage SiC p-IGBTs SiC Based Optically-Gated High-Power Solid-State Switch for Pulsed-Power Application Hydrogen Gas Sensors Fabricated on Atomically Flat 4H-SiC Webbed Cantilevers 4H-SiC Single Photon Avalanche Diode for 280nm UV Applications Avalanche Multiplication and Impact Ionisation in Separate Absorption and Multiplication 4H-SiC Avalanche PhotodiodesObservation of Luminescence from Defects in 4H-SiC APDs Operating in Avalanche BreakdownSchottky Barrier Lowering in 4H-SiC Schottky UV DetectorThe Influence of Radiation Defects on the Charge Transport in SiC Nuclear Detectors in Conditions of Elevated Temperatures and Deep Compensation of the ConductivityHigh Power-Density SiC Converter3D Thermal Stress Model for SiC Power ModulesEfficiency Improvement of PV-Inverters with SiC-DMOSFETsA High Performance CCM PFC Circuit Using a SiC Schottky Diode and a Si SuperFETTM Switch Summary of SiC Research for Transportation Applications at ORNLLPE Growth of Bulk GaN Crystal by Alkali-Metal Flux Method600V GaN Schottky Barrier Power Devices for High Volume and Low Cost ApplicationsStatus of GaN-Based Power Switching DevicesProgress in GaN MOSFET TechnologyGrowth of Thick AlN Layers by High Temperature CVD (HTCVD) Epitaxial Lateral Overgrowth of (1-100) m-Plane GaN on m-Plane 6H-SiC by Metalorganic Chemical Vapor DepositionGrowth and Characterization of AlGaN/GaN HEMT Structures on 3C-SiC/Si(111) Templates Semipolar Nitrides Grown on Si(001) Offcut Substrates with 3C-SiC Buffer Layers Surface Morphology of AlN Epitaxial Layer Grown on Various SiC Substrates by Sublimation Closed Space TechniqueEffects of 3C-SiC Intermediate Layer on the Properties of AlN Films Grown on SiO2/Si SubstrateRaman Scattering Analysis of Electrical Property and Crystallinity in Freestanding GaN Crystals with Various Impurity ConcentrationsCharacterization of Traps in GaN pn Junctions Grown by MOCVD on GaN Substrate Using Deep-Level Transient SpectroscopyOptical Characterization of Defect-Related Carrier Recombination and Transport Features in GaN Substrates and CVD DiamondsCharacterization of V-Defects in InGaN Single-Quantum-Well Films at Nanometer Level by High Spatial Resolution Cathodoluminescence Spectroscopy Correlation between Screw Dislocations Distribution and Cathodoluminescence Spectra of InGaN Single Quantum Well FilmsMaterial Properties of GaN Films Grown on SiC/SOI SubstrateHRTEM Analysis of AlN Layer Grown on 3C-SiC/Si Heteroepitaxial Substrates with Various Surface Orientations288 V-10 V DC- DC Converter Application Using AlGaN/GaN HFETsMultiple Ion-Implanted GaN/AlGaN/GaN HEMTs with Remarkably Low Parasitic Source ResistanceCurrent Collapse in AlGaN/GaN/AlGaN Double Heterojunction Field Effect TransistorsCurrent Collapse Characteristic of AlGaN/GaN MIS-HEMTThe Effect of Ohmic Contact Location on the Buffer Leakage Current of AlGaN/GaN HeterostructureElectrical Properties of Inhomogeneous Pt/GaN Schottky BarrierEffect of Deep Trap on Breakdown Voltage in AlGaN/GaN HEMTsDC and RF Performance of Diamond MISFETs with Alumina Gate InsulatorDiamond Doped by Hot Ion ImplantationCharacterization of Nanometer-Sized ZnO by Raman and Cathodoluminescence Spectroscopies Annealing Behavior of Defects in Multiple-Energy Nitrogen Implanted ZnO Bulk Single Crystal


Ihre Fragen, Wünsche oder Anmerkungen
Vorname*
Nachname*
Ihre E-Mail-Adresse*
Kundennr.
Ihre Nachricht*
Lediglich mit * gekennzeichnete Felder sind Pflichtfelder.
Wenn Sie die im Kontaktformular eingegebenen Daten durch Klick auf den nachfolgenden Button übersenden, erklären Sie sich damit einverstanden, dass wir Ihr Angaben für die Beantwortung Ihrer Anfrage verwenden. Selbstverständlich werden Ihre Daten vertraulich behandelt und nicht an Dritte weitergegeben. Sie können der Verwendung Ihrer Daten jederzeit widersprechen. Das Datenhandling bei Sack Fachmedien erklären wir Ihnen in unserer Datenschutzerklärung.