Mertens / Meuris / Heyns | Ultra Clean Processing of Semiconductor Surfaces IX | Sonstiges | 978-3-908454-03-8 | sack.de

Sonstiges, Englisch, 412 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

Mertens / Meuris / Heyns

Ultra Clean Processing of Semiconductor Surfaces IX

Sonstiges, Englisch, 412 Seiten, Format (B × H): 125 mm x 142 mm, Gewicht: 200 g

ISBN: 978-3-908454-03-8
Verlag: Trans Tech Publications


Volume is indexed by Thomson Reuters CPCI-S (WoS).
The contents of this publication include every conceivable issue related to contamination, cleaning and surface preparation during mainstream large-scale integrated circuit manufacture. Typically, silicon is used as the main semiconductor substrate. However, other semiconducting materials such as SiGe and SiC are currently being used in the source-sink junction areas, and materials such as Ge and III-V compounds are being considered for the transistor channel region of future-generation devices.
Mertens / Meuris / Heyns Ultra Clean Processing of Semiconductor Surfaces IX jetzt bestellen!

Weitere Infos & Material


Direct Observation of Single Bubble Cavitation Damage for MHz CleaningHigh Speed Imaging of 1 MHz Driven Microbubbles in Contact with a Rigid WallCharacterization of a Cavitation Bubble Structure at 230 kHz: Bubble Population, Sonoluminescence and Cleaning Potential Impact of Megasonic Activation with Different Chemistries on Silicon Surface in Single Wafer ToolImpacts of Ionization Potentials and Megasonic DispersionThe Influence of Standing Waves on Cleaning with a Megasonic NozzleMegasonic Sweeping and Silicon Wafer CleaningRemoval of Nano-Particles by Aerosol Spray: Effect of Droplet Size and Velocity on Cleaning Performance High Aspect Ratio Contact Clean Study in 58nm Flash DeviceHigh Velocity Aerosol Cleaning with Organic Solvents: Particle Removal and Substrate Damage Cleaning Technique Using High-Speed Steam-Water Mixed SprayPattern Collapse and Particle Removal Forces of Interest to Semiconductor Fabrication ProcessApplications of Electrostatic Spray Techniques to Surface CleaningAnalyzing the Collapse Force of Narrow Lines Measured by Lateral Force AFM Using an Analytical Mechanical ModelReduced Particle Removal Efficiency Upon Wafer StorageLocal Distribution of Particles Deposited on Patterned SurfacesParticle Retention Mechanism of Filter in High Temperature ChemicalImproving Process Control for Copper Electroplating through Filter Membrane OptimizationParticle ? Wafer Interactions in Semiaqueous Silicon Cleaning SystemsDrying of High Aspect Ratio Structures: A Comparison of Drying Techniques via Electrical Stiction AnalysisRelationship between Atmospheric Humidity and Watermark Formation in IPA Dry of Si Wafer after HF CleanComplementary Metrology within a European Joint LaboratoryHighly Sensitive Detection of Inorganic ContaminationTrace Metallic Contamination Analysis on Wafer Edge and Bevel by TXRF and VPD-TXRFSurface Potential Difference Imaging Applied to Wet Clean MonitoringMetallic Contamination Control in Leading-Edge ULSI ManufacturingMolybdenum Contamination in Silicon: Detection and Impact on Device PerformancesDeveloping a High Volume Manufacturing Wet Clean Process to Remove BF2 Implant Induced Molybdenum ContaminationImpact of Metal-Ion Contaminated Silica Particles on Gate Oxide IntegrityMonitoring System for Airborne Molecular Contamination (AMC) in Semiconductor Manufacturing Areas and Micro-EnvironmentsReduction of Airborne Molecular Contamination on 300 mm Front Opening Unified POD (FOUP) and Wafers Surface by Vacuum TechnologyStudy of the Volatile Organic Contaminants Absorption and their Reversible Outgassing by FOUPsPrevention of Condensation Defects on Contact Patterns by Improving Rinse Process Single Wafer Ozone-Based Processing for Effective Edge Fluoropolymer CleaningCleanliness Management in Advanced MicroelectronicSurface Preparation and Passivation of III-V Substrates for Future Ultra-High Speed, Low Power Logic ApplicationsPreparation and Characterization of Self-Assembled Monolayers on Germanium SurfacesApplication of Single-Wafer Wet Cleaning Prior to Epitaxial SiGe ProcessLow Temperature Pre-Epi Treatment: Critical Parameters to Control Interface ContaminationSilicon Surface Preparation and Passivation by Vapor Phase of Heavy Water Defects of Silicon Substrates Caused by Electro-Static Discharge in Single Wafer Cleaning Process Three-Step Room Temperature Wet Cleaning Process for Silicon SubstrateEffect of Wet Treatment on Stability of Spin-On Dielectrics for STI Gap-Filling in Nanoscale Memory Influence of Wet Cleaning on Tungsten Deposited with Different TechniquesEtch Rate Study of Germanium, GaAs and InGaAs: A Challenge in Semiconductor ProcessingPoly-Silicon Etch with Diluted Ammonia: Application to Replacement Gate Integration SchemeAdvances on 45nm SiGe-Compatible NiPt Salicide ProcessImpact of Galvanic Corrosion on Metal Gate StacksPhotoresist Adhesion during Wet Etch on Single Wafer ToolSurface Texturization and Interface Passivation of Mono-Crystalline Silicon Substrates by Wet Chemical Treatments Mechanism of Plasma-Less Gaseous Etching Process for Damaged Oxides from the Ion Implantation ProcessCurrent Advances in Anhydrous HF/Organic Solvent Processing of Semiconductor SurfacesCo-Solvent Effect on the HF/CO2 Dry Etching of Sacrificial OxidesA Novel Vapor Phase Etching Process for SiMaterial Loss Impact on Device Performance for 32nm CMOS and Beyond Evaluation of Plasma Strip Induced Substrate DamagePost Extension Ion Implant Photo Resist Strip for 32 nm Technology and beyondInfluence of Dry Ashing and Wet Treatments on NVM Metal Gate StructuresChemical and Mechanical Analysis of HDIS Residues Using Auger Electron Spectroscopy and NanoindentationShortening of Plasma Strip Process Resulting in Better Removal of Photo Resist after High Dose ImplantationStripping and Cleaning of High-Dose Ion-Implanted Photoresists Using a Single-Wafer, Single-Chamber Dry/Wet Hybrid System Post Ion-Implant Photoresist Stripping Using Steam and Water: Pre-Treatment in a Steam Atmosphere and Steam-Water Mixed Spray Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist Novel Methods for Wet Stripping High Dose Implanted Photoresist Using Sulfur TrioxideAll Wet Photoresist Strip by Solvent Aerosol SprayStripping of Ion Implanted Photoresist by CO2 Cryogenic Pre-Treatment Followed by Wet CleaningPorous Low-k Wet Etch in HF-Based Solutions: Focus on Cleaning Process Window, "Pore-Sealing" and "k Recovery" Photoresist Removal Using Alternative Chemistries and PressuresOzone Chemistry for BEOL Resist Stripping ? A Systematic Analytical Attempt to Understand the Interaction of O3 with Modern DUV-ResistsDesign and Development of Novel Remover for Cu/Porous Low-k Interconnects Surface Energy and Wetting Behaviour of Plasma Etched Porous SiCOH Surfaces and Plasma Etch Residue Cleaning SolutionsModification of Photoresist by UV for Post-Etch Wet Strip ApplicationsRecyclable Fluorine-Based Cleaning Solvents for Resist RemovalCu Dendrite Formation in Post Trench Etch CleaningThe Interaction of Sublimed Iminodiacetic Acid with a Cu{110} SurfaceAdvances in Test Wafer Reclaim Technology ? Wet Stripping Porous Low-k Films with No Substrate Damage Electrochemical Behavior of Cobalt in Post-Via Etch Cleaning SolutionsCharacterization of Post Etch Residues Depending on Resist Removal Processes after Aluminum EtchImpact of Dry Etch and Ash Conditions on Removability of Plasma Etch Residues in Al-Metallization. Approach to Improve PER Cleaning Efficiency by EHS-Friendly Aqueous RemoverBorderless via Clean Study for Minimizing Al-Cu Loss in 58nm Flash DevicesEffect of Various Cleaning Solutions and Brush Scrubber Kinematics on the Frictional Attributes of Post Copper CMP Cleaning ProcessThe Effect of PVA Brush Scrubbing on Post CMP Cleaning Process for Damascene Cu InterconnectionCu Surface Characterization after Wet Cleaning Processes Impact of CMP Polish and pCMP Cleaning on Adhesion of SiCN Capping Layer on PECVD-Derived Porous OSG and CopperDamage-Free Post-CMP Cleaning Solution for Low-k Fluorocarbon on Advanced Interconnects Process Dependence on Defectivity Count on Copper and Dielectric Surfaces in Post-Copper CMP CleaningA Novel Copper Interconnection Cleaning by Atomic Hydrogen Using Diluted Hydrogen Gas


Ihre Fragen, Wünsche oder Anmerkungen
Vorname*
Nachname*
Ihre E-Mail-Adresse*
Kundennr.
Ihre Nachricht*
Lediglich mit * gekennzeichnete Felder sind Pflichtfelder.
Wenn Sie die im Kontaktformular eingegebenen Daten durch Klick auf den nachfolgenden Button übersenden, erklären Sie sich damit einverstanden, dass wir Ihr Angaben für die Beantwortung Ihrer Anfrage verwenden. Selbstverständlich werden Ihre Daten vertraulich behandelt und nicht an Dritte weitergegeben. Sie können der Verwendung Ihrer Daten jederzeit widersprechen. Das Datenhandling bei Sack Fachmedien erklären wir Ihnen in unserer Datenschutzerklärung.