Okumura / Harima / Kimoto | Silicon Carbide and Related Materials 2013 | Buch | 978-3-03835-010-1 | sack.de

Buch, Englisch, 1246 Seiten, Format (B × H): 170 mm x 240 mm, Gewicht: 2350 g

Okumura / Harima / Kimoto

Silicon Carbide and Related Materials 2013

Buch, Englisch, 1246 Seiten, Format (B × H): 170 mm x 240 mm, Gewicht: 2350 g

ISBN: 978-3-03835-010-1
Verlag: Trans Tech Publications


The papers cover most of the current research efforts on the wide bandgap semiconductor silicon carbide (SiC) and related materials, and a wide range of topics from crystal growth to their power electronics applications. In these proceedings, the written version of 270 contributed papers and 13 invited papers are included. The major chapters of the proceedings collect papers in the area of bulk growth of SiC, epitaxial growth of SiC, physical properties and characterization, processing, devices and application. There are three shorter chapters on graphene, III-nitrides and related materials. Volume is indexed by Thomson Reuters CPCI-S (WoS).The 283 papers are grouped as follows: Chapter 1: SiC Bulk Growth; Chapter 2: SiC Epitaxial Growth; Chapter 3: Physical Properties and Characterization of SiC; Chapter 4: Processing of SiC; Chapter 5: Devices and Circuits; Chapter 6: Related Materials.
Okumura / Harima / Kimoto Silicon Carbide and Related Materials 2013 jetzt bestellen!

Weitere Infos & Material


Preface, Committees, Sponsors and Overview
Chapter 1: SiC Bulk Growth
1.1 PVT and CVD
Open Issues in SiC Bulk Growth
Real-Time Measurement of the Evolution of Growth Facets during SiC PVT Bulk Growth Using 3-D X-Ray Computed Tomography
Effect of Facet Occurrence on Polytype Destabilization during Bulk Crystal Growth of SiC by Seeded Sublimation
Development of RAF Quality 150mm 4H-SiC Wafer
Impurity Behavior of High Purity SiC Powder during SiC Crystal Growth
Effect of TaC-Coated Crucible on SiC Single Crystal Growth
Interaction between Vapor Species and Graphite Crucible during the Growth of SiC by PVT
Comparison of Thermodynamic Databases for the Modeling of SiC Growth by PVT
Spiral Step Dissociation on PVT Grown SiC Crystals
Reciprocal Space Mapping Studies of the Initial Stage of the PVT Growth of 4H-SiC Crystals Parallel and Perpendicular to the c-Axis
Growth of Low Resistivity n-Type 4H-SiC Bulk Crystals by Sublimation Method Using Co-Doping Technique
4H-SiC Bulk Growth Using High-Temperature Gas Source Method
Fast 4H-SiC Crystal Growth by High-Temperature Gas Source Method
Dislocation Analysis of 4H-SiC Crystals Obtained at Fast Growth Rate by the High-Temperature Gas Source Method
1.2 Solution Growth
Increase in the Growth Rate by Rotating the Seed Crystal at High Speed during the Solution Growth of SiC
Surface Morphology and Threading Dislocation Conversion Behavior during Solution Growth of 4H-SiC Using Al-Si Solvent
Electromagnetic Enhancement of Carbon Transport in SiC Solution Growth Process: A Numerical Modeling Approach
Solution Growth of p-Type 4H-SiC Bulk Crystals with Low Resistivity
Top-Seeded Solution Growth of 3 Inch Diameter 4H-SiC Bulk Crystal Using Metal Solvents
Chapter 2: SiC Epitaxial Growth
2.1 Homoepitaxial Growth
Evolution of Fast 4H-SiC CVD Growth and Defect Reduction Techniques
Demonstration of High Quality 4H-SiC Epitaxial Growth with Extremely Low Basal Plane Dislocation Density
Effects of the Growth Rate on the Quality of 4H Silicon Carbide Films for MOSFET Applications
Conversion of Basal Plane Dislocations to Threading Edge Dislocations in Growth of Epitaxial Layers on 4H-SiC Substrates with a Vicinal Off-Angle
Progress in Large-Area 4H-SiC Epitaxial Layer Growth in a Warm-Wall Planetary Reactor
C-Face Epitaxial Growth of 4H-SiC on Quasi-150-mm Diameter Wafers with High Throughput
Latest SiC Epitaxial Layer Growth Results in a High-Throughput 6×150 mm Warm-Wall Planetary Reactor
Fast 4H-SiC Epitaxial Growth on 150 mm Diameter Area with High-Speed Wafer Rotation
4H-SiC Epitaxial Layer Grown on 150 mm Automatic Horizontal Hot Wall Reactor PE106
Development of Homoepitaxial Growth Technique on 4H-SiC Vicinal Off Angled Substrate
Homo-Epitaxial Growth on Low-Angle Off Cut 4H-SiC Substrate
Epitaxial Growth of Thick Multi-Layer 4H-SiC for the Fabrication of Very High-Voltage C-Face n-Channel IGBT
Dependence of the Growth Parameters on the In-Plane Distribution of 150 mm f Size SiC Epitaxial Wafer
Comparative Study of Defects in 4H-SiC Epilayers Grown on 4o Off-Axis (0001) and (000-1) Substrates
An Approach to Trace Defects Propagation during SiC Epitaxy
Characterization of the Defect Evolution in Thick Heavily Al-Doped 4H-SiC Epilayers
Crystal Growth of Highly Oriented Silicon Carbide by Chemical Vapor Deposition with Alternating Gas Supply
Effect of Process Parameters on Dislocation Density in Thick 4H-SiC Epitaxial Layers Grown by Chloride-Based CVD on 4° Off-Axis Substrates
50 µm-Thick 100 mm 4H-SiC Epitaxial Layer Growth by Warm-Wall Planetary Reactor
Demonstration of High Quality 4H-SiC Epitaxy by Using the Two-Step Growth Method
Simulation Study of High-Speed Wafer Rotation Effects in a Vertical Reactor for 4H-SiC Epitaxial Growth on 150 mm Substrates
Revisiting the Thermochemical Database of Si-C-H System Related to SiC CVD Modeling
Fast Growth Rate Epitaxy on 4° Off-Cut 4-Inch Diameter 4H-SiC Wafers
Simulation Studies on Giant Step Bunching in 4H-SiC Epitaxial Growth: Cluster Effect
Ge Assisted SiC Epitaxial Growth by CVD on SiC Substrate
4H-SiC Epitaxial Growth on C-Face 150 mm SiC Substrate
Study of Surface Morphologies of On-Axis 6H-SiC Wafer after High-Temperature Etching and Epitaxial Growth
First-Principles Study of Nanofacet Formation on 4H-SiC(0001) Surface
Improved Epilayer Surface Morphology on 2° Off-Cut 4H-SiC Substrates
HCl Assisted Growth of Thick 4H-SiC Epilayers for Bipolar Devices
Homo-Epitaxial Growth on 2° Off-Cut 4H-SiC(0001) Si-Face Substrates Using H2-SiH4-C3H8 CVD System
Simulations of SiC CVD - Perspectives on the Need for Surface Reaction Model Improvements
Simulation Studies on Giant Step Bunching Accompanying Trapezoid-Shape Defects in 4H-SiC Epitaxial Layer
2.2 Heteroepitaxial Growth
Heteroepitaxial CVD Growth of 3C-SiC on Diamond Substrate
The Influence of the Carbonization Mechanisms on the Crystalline Quality of the Carbonization Layer for Heteroepitaxial Growth of 3C-SiC
3C-SiC Seeded Growth on Diamond Substrate by VLS Transport
Monte Carlo Study of the Early Growth Stages of 3C-SiC on Misoriented and 6H-Sic Substrates
Optical Investigation of 3C-SiC Hetero-Epitaxial Layers Grown by Sublimation Epitaxy under Gas Atmosphere
A Study of the Intermediate Layer in 3C-SiC/6H-SiC Heterostructures
Formation of an Interfacial Buffer Layer for 3C-SiC Heteroepitaxy on AlN/Si Substrates
Curvature Evaluation of Si/3C-SiC/Si Hetero-Structure Grown by Chemical Vapor Deposition
Chapter 3: Physical Properties and Characterization of SiC
3.1 Intrinsic Point Defetcs and Carrier Lifetime
Characterization of Ge-Doped Homoepitaxial Layers Grown by Chemical Vapor Deposition
Persistent Conductivity in n-Type 3C-SiC Observed at Low Temperatures
Minority Carrier Transient Spectroscopy of As-Grown, Electron Irradiated and Thermally Oxidized p-Type 4H-SiC
Annealing of Electron Irradiated, Thick, Ultrapure 4H SiC between 1100°C and 1500°C and Measurements of Lifetime and Photoluminescence
Identification of Structures of the Deep Levels in 4H-SiC
Oxidation Induced ON1, ON2a/b Defects in 4H-SiC Characterized by DLTS
Identification of the Negative Carbon Vacancy at Quasi-Cubic Site in 4H-SiC by EPR and Theoretical Calculations
Defect Levels in High Purity Semi-Insulating 4H-SiC Studied by Alpha Particle Induced Charge Transient Spectroscopy
Correlation between Microwave Reflectivity and Excess Carrier Concentrations in 4H-SiC
Diffusion of Alkali Metals in SiC
Comparison of Carrier Lifetime Measurements and Mapping in 4H SiC Using Time Resolved Photoluminscence and µ-PCD
On Photoelectrical Properties of 6H-SiC Bulk Crystals PVT-Grown on 6H- and 4H-SiC Substrates
3.2 Extended Defects
Basal Plane Dislocations from Inclusions in 4H-SiC Epitaxy
Photoluminescence Imaging and Discrimination of Threading Dislocations in 4H-SiC Epilayers
Polarized Photoluminescence from Partial Dislocations in 4H-SiC
Post-Growth Reduction of Basal Plane Dislocations by High Temperature Annealing in 4H-SiC Epilayers
Measurement of Critical Thickness for the Formation of Interfacial Dislocations and Half Loop Arrays in 4H-SiC Epilayer via X-Ray Topography
Study of V and Y Shape Frank-Type Stacking Faults Formation in 4H-SiC Epilayer
Three-Dimensional Imaging of Extended Defects in 4H-SiC by Optical Second-Harmonic Generation
Driving Force of Stacking Fault Expansion in 4H-SiC PN Diode by In Situ Electroluminescence Imaging
Dislocation Revelation for 4H-SiC by Using Vaporized NaOH: A Possible Way to Distinguish Edge, Screw and Mixed Threading Dislocations by Etch Pit Method
TEM Observation of Defect Structure of Low-Energy Ion Implanted SiC
Structure and Origin of Carrot Defects on 4H-SiC Homoepitaxial Layers
Dislocation Analysis of 4H-SiC Using KOH Low Temperature Etching
Characterization of Damage Induced by Electric Discharge Machining and Wiresawing with Loose Abrasive at Subsurface of SiC Crystal
Characterization of Threading Edge Dislocation in 4H-SiC by X-Ray Topography and Transmission Electron Microscopy
Microstructural Analysis of Damaged Layer Introduced during Chemo-Mechanical Polishing
Origin Analyses of Trapezoid-Shape Defects in 4-Deg.-off 4H-SiC Epitaxial Wafers by Synchrotron X-Ray Topography
Micro-Raman Characterization of 4H-SiC Stacking Faults
Defects Grouping and Characterizations of PL-Imaging Methods for 4H-SiC Epitaxial Layers
Characterization of Vacant Broken Line Defects in A-Face Grown Crystals of Silicon Carbide
Formation of Double Stacking Faults from Polishing Scratches on 4H-SiC (0001) Substrate
Nanomechanical Analysis of Triangular Defect in 4H-SiC Epilayer
Analysis on Generation of Localized Step-Bunchings on 4H-SiC(0001)Si Face by Synchrotron X-Ray Topography
Non Destructive Inspection of Dislocations in SiC Wafer by Mirror Projection Electron Microscopy
3.3 Surface and Interface
Nanoscale Characterization of SiC Interfaces and Devices
C-Face Interface Defects in 4H-SiC MOSFETs Studied by Electrically Detected Magnetic Resonance
Accurate Characterization of Interface State Density of SiC MOS Structures and the Impacts on Channel Mobility
Deep-Level Transient Spectroscopy Characterization of Interface States in SiO2/4H-SiC Structures Close to the Conduction Band Edge
Low Frequency Noise Analysis of Monolithically Fabricated 4H-SiC CMOS Field Effect Transistors
Estimation of Surface Recombination Velocities for n-Type 4H-SiC Surfaces Treated by Various Processes
Drain-Current Deep Level Transient Spectroscopy Investigation on Epitaxial Graphene/6H-SiC Field Effect Transistors
Single Event Gate Rupture in SiC MOS Capacitors with Different Gate Oxide Thicknesses
3.4 Stress and Mechanical Properties
Mechanical Properties and Residual Stress of Thin 3C-SiC(111) Films Determined Using MEMS Structures
Influence of P+-Implantation and Post-Annealing on Warpage Structure of 4H-SiC Wafers
Residual Stress Measurements of 4H-SiC Crystals Using X-Ray Diffraction
Evaluation of Mechanical and Optical Properties of Hetero-Epitaxial Single Crystal 3C-SiC Squared-Membrane
3.5 Fundamental Properties
Temperature Dependence of Impact Ionization Coefficients in 4H-SiC
Improved Analytical Expressions for Avalanche Breakdown in 4H-SiC
High-Resolution Raman and Luminescence Spectroscopy of Isotope-Pure 28Si12C, Natural and 13C – Enriched 4H-SiC
Carrier Density Dependence of Fano Type Interference in Raman Spectra of p-type 4H-SiC
Crystallographic Structure of 8H- and 10H-SiC Analyzed by Raman Spectroscopy and Diffraction Methods
Hall Factor Calculation for the Characterization of Transport Properties in N-Channel 4H-SiC MOSFETs
Temperature and Electrical Field Dependence of the Ambipolar Mobility in N-Doped 4H-SiC
High-Sensitivity High-Resolution Full-Wafer Imaging of the Properties of Large n-Type SiC Using the Relative Reflectance of Two Terahertz Waves
Theoretical Investigation of the Single Photon Emitter Carbon Antisite-Vacancy Pair in 4H-SiC
First Principles Investigation of Divacancy in SiC Polytypes for Solid State Qubit Application
Impact of Carrier Lifetime on Efficiency of Photolytic Hydrogen Generation by p-Type SiC
Direct Observation of the Edge Termination of Surface Steps on 4H/6H-SiC {0001} by Tilted Low-Voltage Scanning Electron Microscopy
Chapter 4: Processing of SiC
4.1 MOS Processing, SiC-SiO2 Interfaces and Other Dielectrics
Thin PSG Process for 4H-SiC MOSFET
Depletion-Mode TDDB for n-Type MOS Capacitors of 4H-SiC
Threshold Voltage Instability of SiC-MOSFETs on Various Crystal Faces
Low Energy Proton Radiation Impact on 4H-SiC nMOSFET Gate Oxide Stability
Unexpected Effect of Thermal Storage Observed on SiC Power DMOSFET
Radiation-Induced Trapped Charging Effects in SiC Power MOSFETs
Reliability Improvement and Optimization of Trench Orientation of 4H-SiC Trench-Gate Oxide
Degradation of SiO2/SiC Interface Properties due to Mobile Ions Intrinsically Generated by High-Temperature Hydrogen Annealing
Reliability of Gate Oxides on 4H-SiC Epitaxial Surface Planarized by CMP Treatment
Characterization of LaxHfyO Gate Dielectrics in 4H-SiC MOS Capacitor
Si Emission into the Oxide Layer during Oxidation of Silicon Carbide
High Mobility 4H-SiC MOSFETs Using Lanthanum Silicate Interface Engineering and ALD Deposited SiO2
Retarded Oxide Growth on 4H-SiC(0001) Substrates due to Sacrificial Oxidation
Nano-Scale Native Oxide on 6H-SiC Surface and its Effect on the Ni/Native Oxide/SiC Interface Band Bending
MOS Interfacial Studies Using Hall Measurement and Split C-V Measurement in n-Channel Carbon-Face 4H-SiC MOSFET
Reduction of Density of 4H-SiC / SiO2 Interface Traps by Pre-Oxidation Phosphorus Implantation
Conduction Mechanism of Leakage Current in Thermal Oxide on 4H-SiC
Systematic Analysis of the High- and Low-Field Channel Mobility in Lateral 4H-SiC MOSFETs
Experimental Studies on Water Vapor Plasma Oxidation and Thermal Oxidation of 4H-SiC (0001) for Clarification of the Atomic-Scale Flattening Mechanism in Plasma Assisted Polishing
Rapid Thermal Oxidation of Si-Face N and P-Type On-Axis 4H-SiC
Influence of Diverse Post-Trench Processes on the Electrical Performance of 4H-SiC MOS Structures
Impact of the Oxidation Temperature on the Interface Trap Density in 4H-SiC MOS Capacitors
Deep Traps in 4H-SiC MOS Capacitors Investigated by Deep Level Transient Spectroscopy
4H-SiC MOS Capacitors and MOSFET Fabrication with Gate Oxidation at 1400°C
Step-Bunching Dependence of the Lifetime of MOS Capacitor on 4o Off-Axis Si-Face 4H-SiC Epitaxial Wafers
Nitridation Effects of Gate Oxide on Channel Properties of SiC Trench MOSFETs
NF3 Added Oxidation of 4H-SiC(0001) and Suppression of Interface Degradation
Characterization of SiO2/SiC Interfaces Annealed in N2O or POCl3
Growth of Gate Oxides on 4H-SiC by NO at Low Partial Pressures
Preparation and Characterization of Nitridation Layer on 4H SiC (0001) Surface by Direct Plasma Nitridation
Analysis of C-Face 4H-SiC MOS Capacitors with ZrO2 Gate Dielectric
4.2 Doping, Ion Implantation and Contacts
Applications of Vapor-Liquid-Solid Selective Epitaxy of Highly p-Type Doped 4H-SiC: PiN Diodes with Peripheral Protection and Improvement of Specific Contact Resistance of Ohmic Contacts
n- and p-Type Doping of 4H-SiC by Wet-Chemical Laser Processing
Properties of Al Ohmic Contacts to n-type 4H-SiC Employing a Phosphorus-Doped and Crystallized Amorphous-Silicon Interlayer
Microwave Annealing of Al+ Implanted 4H-SiC: Towards Device Fabrication
Al+ Implanted 4H-SiC p+-i-n Diodes: Evidence for Post-Implantation-Annealing Dependent Defect Activation
Temperature Dependence of Electric Conductivities in Femtosecond Laser Modified Areas in Silicon Carbide
Comparative Study of the Current Transport Mechanisms in Ni2Si Ohmic Contacts on n- and p-Type Implanted 4H-SiC
Ti/Al/Si Ohmic Contacts for both n-Type and p-Type 4H-SiC
Development of a Novel Cap-Free Activation Annealing Technique of 4H-SiC by Si-Vapor Ambient Annealing Using TaC/Ta Composite Materials
Low Cost Ion Implantation Process with High Heat Resistant Photoresist in Silicon Carbide Device Fabrication
Characterization of Ohmic Ni/Ti/Al and Ni Contacts to 4H-SiC from -40°C to 500°C
Electrical Properties of Mg-Implanted 4H-SiC
Low Resistance Ohmic Contact Formation of Ni Silicide on Partially Si Ion Implanted n+ 4H-SiC
On the Ti3SiC2 Metallic Phase Formation for Robust p-Type 4H-SiC Ohmic Contacts
Microstructure Characterization of Si/Ni Contact Layers on n-Type 4H-SiC by TEM and XEDS
Effect of Shallow n-Doping on Field Effect Mobility in p-Doped Channels of 4H-SiC MOS Field Effect Transistors
Electrical Characteristics of Schottky Contacts on Ge-Doped 4H-SiC
Impact of Interface Traps on Current-Voltage Characteristics of 4H-SiC Schottky-Barrier Diodes
Junction Formation via Direct Bonding of Si and 6H-SiC
I - V Characteristics in Surface-Activated Bonding (SAB) Based Si/SiC Junctions at Raised Ambient Temperatures
4.3 Etching, Cutting and Other Machining
4H-SiC Planarization Using Catalyst-Referred Etching with Pure Water
Investigation of the Barrier Heights for Dissociative Adsorption of HF on SiC Surfaces in the Catalyst-Referred Etching Process
Hydrogen Effects in ECR-Etching of 3C-SiC(100) Mesa Structures
Off-Orientation Influence on C-Face (0001) 4H-SiC Surface Morphology Produced by Etching Using Chlorine Trifluoride Gas
Development of Silicon Carbide Dry Etcher Using Chlorine Trifluoride Gas
Investigation of Trenched and High Temperature Annealed 4H-SiC
Removal of Mechanical-Polishing-Induced Surface Damages on 4H-SiC Wafers by Using Chemical Etching with Molten KCl+KOH
Thinning of a Two-Inch Silicon Carbide Wafer by Plasma Chemical Vaporization Machining Using a Slit Electrode
A Novel Grinding Technique for 4H-SiC Single-Crystal Wafers Using Tribo-Catalytic Abrasives
Dicing of SiC Wafer by Atmospheric-Pressure Plasma Etching Process with Slit Mask for Plasma Confinement
Multi-Wire Electrical Discharge Slicing for Silicon Carbide Part 2: Improvement on Manufacturing Wafers by Forty-Wire EDS
Effects of Machining Fluid on Electric Discharge Machining of SiC Ingot
High-Speed Slicing of SiC Ingot by High-Speed Multi Wire Saw
Development of Multi-Wire Electric Discharge Machining for SiC Wafer Processing
Fabrication of Electrostatically Actuated 4H-SiC Microcantilever Resonators by Using n/p/n Epitaxial Structures and Doping-Selective Electrochemical Etching
Characteristics of a Schottky Barrier Diode and the SiC Wafers Sliced by Wire Electrical Discharge Machining
Chapter 5: Devices and Circuits
5.1 Schottky Barrier Diodes
Designing and Fabrication of the VLD Edge Termination for 3.3 kV SiC SBD
Study of 4H-SiC Schottky Diode Designs for 3.3kV Applications
Simulation, Fabrication and Characterization of 4500V 4H-SiC JBS Diode
Evaluation of Buried Grid JBS Diodes
High Voltage SiC JBS Diodes with Multiple Zone Junction Termination Extension Using Single Etching Step
The Fabrication of 4H-SiC Floating Junction SBDs (FJ_SBDs)
Temperature and dIDS/dt Dependence of the Switching Energy of SiC Schottky Diodes in Clamped Inductive Switching Applications
Properties of a SiC Schottky Barrier Diode Fabricated with a Thin Substrate
4H-SiC Diode Avalanche Breakdown Voltage Estimation by Simulation and Junction Termination Extension Analysis
Investigation of Stacking Faults Affecting on Reverse Leakage Current of 4H-SiC Junction Barrier Schottky Diodes Using Device Simulation
5.2 PiN Diodes
Ultrahigh-Voltage (> 20 kV) SiC PiN Diodes with a Space-Modulated JTE and Lifetime Enhancement Process via Thermal Oxidation
Fabrication and Design of 10 kV PiN Diodes Using On-Axis 4H-SiC
High Voltage and Fast Switching Reverse Recovery Characteristics of 4H-SiC PiN Diode
Development of SiC Super-Junction (SJ) Devices by Multi-Epitaxial Growth
VF Degradation of 4H-SiC PiN Diodes Using Low-BPD Wafers
13-kV, 20-A 4H-SiC PiN Diodes for Power System Applications
Variant of Excess Current in 4H-SiC pn Structures
The Cryogenic Testing and Characterisation of SiC Diodes
Comparison of 5kV SiC JBS and PiN Diodes
5.3 JFETs and SITs
650V SiC JFET for High Efficiency Applications
Gate-Drive Voltage Design for 600-V Vertical-Trench Normally-Off SiC JFETs toward 94% Efficiency Server Power Supply
Evaluation of SiC Stack Cascode for 200°C Operations
Beam Acceleration Experiment with SiC Based Power Supply and the Next Generation SiC-JFET Package
The Role of Substrate Compensation on DC Characteristics of 4H-SiC MESFET with Buffer Layer: A Combined Two-Dimensional Simulations and Analytical Study
Monolithic Integration of Power MESFET for High Temperature SiC Integrated Circuits
SiC Current Limiting FETs (CLFs) for DC Applications
3 kV Normally-Off 4H-SiC Buried Gate Static Induction Transistors (SiC-BGSITs)
5.4 MOSFETs
1700V, 5.5mOhm-cm2 4H-SiC DMOSFET with Stable 225°C Operation
A Novel Truncated V-Groove 4H-SiC MOSFET with High Avalanche Breakdown Voltage and Low Specific on-Resistance
40mO / 1700V DioMOS (Diode in SiC MOSFET) for High Power Switching Applications
Blocking Characteristics of 2.2 kV and 3.3 kV-Class 4H-SiC MOSFETs with Improved Doping Control for Edge Termination
4H-SiC Trench MOSFET with Bottom Oxide Protection
SiC Trench MOSFET with an Integrated Low Von Unipolar Heterojunction Diode
SiC Epi-Channel Lateral MOSFETs
600 V -Class V-Groove SiC MOSFETs
14.6 mOcm2 3.3 kV DIMOSFET on 4H-SiC (000-1)
1200 V 4H-SiC DMOSFET with an Integrated Gate Buffer
Designing of Quasi-Modulated Region in 4H-SiC Lateral RESURF MOSFETs
Utilization of SiC MOSFET Body Diode in Hard Switching Applications
Development of 3.3 kV SiC-MOSFET: Suppression of Forward Voltage Degradation of the Body Diode
High Temperature Reliability of the SiC-MOSFET with Copper Metallization
Impact of Hot Carrier Degradation and Positive Bias Temperature Stress on Lateral 4H-SiC nMOSFETs
Investigation on Internally Unbalanced Switching Behavior for Realization of 1-cm2 SiC-MOSFET
Reliability Performance of 1200 V and 1700 V 4H-SiC DMOSFETs for Next Generation Power Conversion Applications
Comparison of 600V Si, SiC and GaN Power Devices
Improvement of Channel Mobility in 4H-SiC C-Face MOSFETs by H2 Rich Wet Re-Oxidation
Electrical Characteristics/Reliability Affected by Defects Analyzed by the Integrated Evaluation Platform for SiC Epitaxial Films
Novel Gate Oxide Process for Realization of High Threshold Voltage in 4H-SiC MOSFET
Comparative Study of 4H-SiC DMOSFETs with N2O Thermal Oxide and Deposit Oxide with Post Oxidation Anneal
Characterization of SiO2/4H-SiC Interface by Device Simulation and Temperature Dependence of On-Resistance of SiC MOSFET
Selection of SPICE Parameters and Equations for Effective Simulation of Circuits with 4H-SiC Power MOSFETs
5.5 Bipolar Junction Transistors
Rapidly Maturing SiC Junction Transistors Featuring Current Gain (ß) > 130, Blocking Voltages up to 2700 V and Stable Long-Term Operation
SiC Etching and Sacrificial Oxidation Effects on the Performance of 4H-SiC BJTs
Modeling of High Performance 4H-SiC Emitter Coupled Logic Circuits
Characterization of 4H-SiC Bipolar Junction Transistor at High Temperatures
Stability of Current Gain in SiC BJTs
5.6 Tyristors
Optical Triggering of High Current (1300 A), High-Voltage (12 kV) 4H-SiC Thyristor
Static and Dynamic Performance Evaluation of >13 kV SiC-ETO and its Application as a Solid-State Circuit Breaker
5.7 IGBTs
20 kV 4H-SiC N-IGBTs
On the TCAD Based Design Diagnostic Study of 4H-SiC Based IGBTs
Effect of Current-Spreading Layer Formed by Ion Implantation on the Electrical Properties of High-Voltage 4H-SiC p-Channel IGBTs
5.8 Sensors
Radiation-Induced Currents in 4H-SiC Dosimeters for Real-Time Gamma-Ray Dose Rate Monitoring
Nuclear Radiation Detectors Based on 4H-SiC p+-n Junction
Optical and Electrical Simulations of Solar Cell Based on Silicon and Silicon Carbide
High Temperature Hydrogen Sensor Based on Silicon Carbide (SiC) MOS Capacitor Structure
Discriminating High k Dielectric Gas Sensors
Two Packaging Solutions for High Temperature SiC Diode Sensors
Silicon Carbide Field Effect Transistors for Detection of Ultra-Low Concentrations of Hazardous Volatile Organic Compounds
5.9 Circuits, Packages and Systems
High Speed Rail Awaits the next Breakthrough of Power Semiconductors
Progress in High Voltage SiC and GaN Power Switching Devices
High-Efficiency Power Conversion Using Silicon Carbide Power Electronics
Strategic Overview of High-Voltage SiC Power Device Development Aiming at Global Energy Savings
SiC Power Module for Compact Power Conversion Equipment
Inverter-Rectifier Using SiC Power Devices for Bidirectional Wireless Power Transfer System of Electric Vehicles
SiC Power Devices as Enabler for High Power Density - Aspects and Prospects
High Temperature Resistant Packaging for SiC Power Devices Using Interconnections Formed by Ni Micro-Electroplating
Research of Silver Sintering Process and Reliability for High Temperature Operation of SiC Power Devices
Pressure Dependence of Thermal Contact Resistance between Copper Heat Sink and Copper DBC Surfaces in SiC Power Device Packages
SiC Power Devices Operation from Cryogenic to High Temperature: Investigation of Various 1.2kV SiC Power Devices
Silicon Carbide Transistors for IC Design Applications up to 600 °C
High Temperature Electrical Characterization of 4H-SiC MESFET Basic Logic Gates
Chapter 6: Related Materials
6.1 Graphene
Controlling the Carrier Concentration of Epitaxial Graphene by Ultraviolet Illumination
Electrical Nanocharacterization of Epitaxial Graphene/Silicon Carbide Schottky Contacts
Carrier Mobility as a Function of Temperature in as-Grown and H-Intercalated Epitaxial Graphenes on 4H-SiC
Stability and Reactivity of [11-20] Step in Initial Stage of Epitaxial Graphene Growth on SiC(0001)
Optimizing the Vacuum Growth of Epitaxial Graphene on 6H-SiC
Formation of Graphene onto Atomically Flat 6H-SiC
Solid Phase Growth of Graphene on Silicon Carbide by Nickel Silicidation: Graphene Formation Mechanisms
Backside Monitoring of Graphene on Silicon Carbide by Raman Spectroscopy
Ion-Beam Irradiation Effect in the Growth Process of Graphene on Silicon Carbide-on-Insulator Substrates
6.2 III-Nitrides
Commercialization of High 600V GaN-on-Silicon Power Devices
Magnetoresistance of AlGaN/GaN High Electron Mobility Transistors on Silicon
Evidence of Low Temperature Decomposition of GaN Hetero-Epitaxial Layers on C-Plane Sapphire Surface Characterized by Differential Scanning Calorimetry
A Proposal to Apply Effective Acceptor Level for Representing Increased Ionization Ratio of Mg Acceptors in Extrinsically Photon-Recycled GaN
Planarization of the Gallium Nitride Substrate Grown by the Na Flux Method Applying Catalyst-Referred Etching
6.3 Si1-xCx and ZnO
Evaluation of Degradation due to Electron Irradiation of Si1-xCx S/D n-type MOSFETs
Structural Analysis of ZnO Thin Films Grown in Room Temperature on PET Film


Ihre Fragen, Wünsche oder Anmerkungen
Vorname*
Nachname*
Ihre E-Mail-Adresse*
Kundennr.
Ihre Nachricht*
Lediglich mit * gekennzeichnete Felder sind Pflichtfelder.
Wenn Sie die im Kontaktformular eingegebenen Daten durch Klick auf den nachfolgenden Button übersenden, erklären Sie sich damit einverstanden, dass wir Ihr Angaben für die Beantwortung Ihrer Anfrage verwenden. Selbstverständlich werden Ihre Daten vertraulich behandelt und nicht an Dritte weitergegeben. Sie können der Verwendung Ihrer Daten jederzeit widersprechen. Das Datenhandling bei Sack Fachmedien erklären wir Ihnen in unserer Datenschutzerklärung.